Dies ist eine statische Kopie unseres alten Forums. Es sind keine Interaktionen möglich.
This is a static copy of our old forum. Interactions are not possible.

Benjamin

Segelnder Alter Hase

  • "Benjamin" is male
  • "Benjamin" started this thread

Posts: 3,827

Date of registration: Oct 1st 2002

Location: Region Hannover

Occupation: Alumni

1

Wednesday, August 27th 2003, 6:13pm

TI-Klausur

HI.
hat nicht vielleicht doch jemand irgendwo etwas gehört, wo vielleicht nen Wort über die Klausurinhalte der kommenden TI-Klausur verlorne wurden. Haben ja immerhin auch in der Übung(Vorlesung) andere Schwerpunkte gehabt.
Frage mich inwieweit z.B. FlipFlops drankommen oder Schaltungsentwurf mit FlipFlops und inwieweit eben der andere Rest ne Rolle spielt. Mache Dinge würde ich gerne schon beim lernen ausschließen :)

könnt ja gut sein, dass unsere Klausur so auch anders aussieht als die alten
Es gibt nur eine bessere Sache als auf dem Wasser zu sein: Noch mehr auf dem Wasser sein.

Jethro

Junior Schreiberling

  • "Jethro" is male

Posts: 185

Date of registration: Oct 15th 2002

2

Wednesday, August 27th 2003, 7:11pm

Das würde mich auch verdammt interessieren, gerade weil wir wie gesagt einige Dine aus der Vorlesung gar nicht in den Übungen behandelt haben (was ja an sich normal ist), z.B. PLA's
Information is like a mist, you have to breath it in

(De-Phazz - Information)

Arne

ThI

  • "Arne" is male

Posts: 1,798

Date of registration: Oct 7th 2002

Location: Hannover :)

Occupation: Lecturer ThI

3

Thursday, August 28th 2003, 4:29pm

Hat jemand eine gute Erkläung für Flip-Flops gefunden bzw. kann jemand das gut erklären und hat es verstanden?
"NP - The class of dashed hopes and idle dreams." Complexity Zoo

Benjamin

Segelnder Alter Hase

  • "Benjamin" is male
  • "Benjamin" started this thread

Posts: 3,827

Date of registration: Oct 1st 2002

Location: Region Hannover

Occupation: Alumni

4

Thursday, August 28th 2003, 5:18pm

spezfiziere mal deine Frage 8)
was ist genau das, wo es bei dir hakt? die grundlegende Funktionsweise oder was?

viel schlimmer finde ich die folgenden Dinge. Nämlich die KV-Diagramme zur Ansteuereung oder die Timing-Diagramme (Aufgabe 25 ff.) Das ist auch dürftig bis gar nicht erklärt in den Hilfen und sonstwo
Es gibt nur eine bessere Sache als auf dem Wasser zu sein: Noch mehr auf dem Wasser sein.

Arne

ThI

  • "Arne" is male

Posts: 1,798

Date of registration: Oct 7th 2002

Location: Hannover :)

Occupation: Lecturer ThI

5

Thursday, August 28th 2003, 6:35pm

Quoted

Original von metalhen
spezfiziere mal deine Frage 8)
was ist genau das, wo es bei dir hakt? die grundlegende Funktionsweise oder was?


jo genau kann mir nicht vorstellen wie so ein ding normal funktioniert. mich verwirren diese ganzen takte total
"NP - The class of dashed hopes and idle dreams." Complexity Zoo

Benjamin

Segelnder Alter Hase

  • "Benjamin" is male
  • "Benjamin" started this thread

Posts: 3,827

Date of registration: Oct 1st 2002

Location: Region Hannover

Occupation: Alumni

6

Wednesday, September 3rd 2003, 6:50am

vier: hoffe dir hat das basteln mit dme DigiSim geholfen. Lassen wir uns überraschen.

Hoffen wir auf gute Aufgaben und viel Erfolg ! :P
Es gibt nur eine bessere Sache als auf dem Wasser zu sein: Noch mehr auf dem Wasser sein.

Arne

ThI

  • "Arne" is male

Posts: 1,798

Date of registration: Oct 7th 2002

Location: Hannover :)

Occupation: Lecturer ThI

7

Wednesday, September 3rd 2003, 1:34pm

hmm hätte öfters mal das umcodieren üben sollen, dann hätte mich das weniger aufgehalten ;)

quine mcclusky *muh*.. hätte ich mir den schluss angucken müssen, da ich nicht mehr wußte wie das am ende nun ging..

multiplexer ging. demultiplexer hab ich aus gedanken konstruiert *hoff*..

shannon kam bei mir ein einziger term mit den 3 vars auf, wobei x und z negiert waren glaub ich.. hat das wer? (hoffentlich)

tja, die kran-aufgabe... automat war einfach. nur die frage ist, welche eingänge man für die kv-diagramme benutzt? ich hab Q_alt sowie u und d benutzt + die 1 / 0 / - beim jeweiligen J, K oder m eingetragen und vereinfacht... korrekt? :)


alles in allem anders als die letzten klausuren, da auch der schwerpunkt anders war, aber war alles ne zeit frage...
"NP - The class of dashed hopes and idle dreams." Complexity Zoo

T2k

Erfahrener Schreiberling

  • "T2k" is male

Posts: 339

Date of registration: Oct 9th 2002

Location: da drüben, gleich dort.

Occupation: Warum? Hmm, weil ich sonst nix mit meiner Zeit anzufangen weiß :D

8

Wednesday, September 3rd 2003, 1:48pm

hehe wieso guckt sich keiner die quinkramstabellen an ;) hätt ich gewusst das die dort 40 punkte reinballern... na hoffentlich hats gereicht... aber irgendwie komm ich mir verarscht vor, kein script, meiner meinung nach gleiches niveau wie die vorklausuren und dann nicht den funken an infos/zusatzmaterial....
ja der automat kamm mir auch bissel blöd vor, und dann brauchte ich 3 anläufe um zu rallen das ich nicht k1/q1/m als quellen nehmen muss, buhhh ;)


p.s.: was zur hölle ist ein multiplexer :D


T2k
Die zweithäufigste Todesursache eines Soldaten ist das Gewicht seines Rückentornisters ("http://olnigg.de/" Aug05/Nr120)

DocEvil

Trainee

  • "DocEvil" is male

Posts: 109

Date of registration: Oct 14th 2002

Location: Erschaffen aus Glut und Feuer, stärker als die Grundfesten der Erde

Occupation: CvD ;)

9

Wednesday, September 3rd 2003, 1:53pm

Also für mich war die klausur wieder mal nen Griff ins Klo, hatte ne Riesenlücke bei Quine McCluscky, und dem netsprechend konnte ich da nix machen. Schade nur, dass man wenn man den Anfang der Aufgabe nicht konnte, auch ALLE Teilaufgaben vergessen konnte, obwohl die zu ganz anderen Themen waren .. so hätte ich natürlich Problemlos den "finanziellen" aufwand berechnen können, oder das Schaltnetz nachher konstuieren. Ist halt nur Schade das nichmal so zwischenlösungen angegeben waren, mit denem man dann hätte weiterrechnen können.

Tja alles in allem bereite ich mich darauf vor die klausur nochmal zu schreiben :( wie immer in diesem versch****n Semester.

Ich kann nich annähernd soviel essen wie ich kotzen möchte!
Doch weder Mensch noch Wolf noch Balrog hätte Morgoth zum Ziele geführt,
ohne den Verrat der Menschen.

---Das Silmarillion---

Benjamin

Segelnder Alter Hase

  • "Benjamin" is male
  • "Benjamin" started this thread

Posts: 3,827

Date of registration: Oct 1st 2002

Location: Region Hannover

Occupation: Alumni

10

Wednesday, September 3rd 2003, 2:27pm

also im Gegensatz zu Java fand ich die Klausur fair!
Es war genau der Stoff mit dem man hätte rechnen sollen, mal abgesehen vom PLA, und war zeitlich auch ok.
Wenn man alles gut konnte war es kein Problem die Aufgaben zu schaffen.

Wann gibt es die Ergebnisse?
Es gibt nur eine bessere Sache als auf dem Wasser zu sein: Noch mehr auf dem Wasser sein.

kommi

Senior Fachschaft

  • "kommi" is male

Posts: 174

Date of registration: Feb 7th 2003

Location: Hansestadt Lüneburg

11

Wednesday, September 3rd 2003, 4:54pm

Die Fairness war auf jeden Fall gegeben....

@metalhen: Genau wie die JAVA-Ergebnisse am 22.09. bei Raum F432 irgendwo.
One day I realized that sadness is just another word for not enough coffee.

Ray-D

Alter Hase

  • "Ray-D" is male

Posts: 690

Date of registration: Oct 9th 2002

Location: Zimbabwe-Island Ost Beiträge: 3.427

Occupation: Informatiker

12

Wednesday, September 3rd 2003, 5:05pm

also ich kam ganz gut durch die aufgaben aber am ende reichte die zeit beim besten willen nicht um die aufgabe mit dem kran zu beeden. ansonsten fand ich den aufbau gut. also ich meine die vorgabe der einzelschritte. aber zwischen ergebnisse wäre nicht schlecht gewesen.

auf jeden fall besser mit dem wissen aus den übungen zu lösen als die java klausur!
"ob ich alles weiss, was wir wissen, weiss ich auch nicht, aber ich weiss natürlich niemand von uns weiss etwas was er nicht weiss" - Wolgang Schäuble
Freiheit wird nicht erbettelt, sondern erkämpft


Dieser Beitrag wurde bereits 7 mal editiert, zuletzt von »Ray-D« (Heute, 04:29)

Benjamin

Segelnder Alter Hase

  • "Benjamin" is male
  • "Benjamin" started this thread

Posts: 3,827

Date of registration: Oct 1st 2002

Location: Region Hannover

Occupation: Alumni

13

Tuesday, September 30th 2003, 11:09pm

Habe für uns AInlfer mal die Noten gezählt

TI-Klausur-Statistik SS03:

gesammt: 83 AInfler = 100%
n.e. = ?
5,0 45 54,2%
4,0 7 = 8,5%
3,7 7 = 8,5%
3,3 8 = 9,6%
3,0 6 = 7,2%
2,7 5 = 6,0%
2,3 2 = 2,4%
2,0 1 = 1,2%
1,3 2 = 2,4%

Durchschnitt: bestandene: 3,2 ; alle teilgenommenen: 4,2
Es gibt nur eine bessere Sache als auf dem Wasser zu sein: Noch mehr auf dem Wasser sein.